浮點處理器 的英文怎麼說

中文拼音 [diǎnchǔ]
浮點處理器 英文
floating point processor
  • : Ⅰ動詞1 (漂在液體表面) float; drift 2 [方言] (在水裡游) swim Ⅱ形容詞1 (在表面上的) superfici...
  • : Ⅰ名詞1 (液體的小滴) drop (of liquid) 2 (細小的痕跡) spot; dot; speck 3 (漢字的筆畫「、」)...
  • : 處名詞1 (地方) place 2 (方面; 某一點) part; point 3 (機關或機關里一個部門) department; offi...
  • : Ⅰ名詞1 (物質組織的條紋) texture; grain (in wood skin etc ) 2 (道理;事理) reason; logic; tru...
  • : 名詞1. (器具) implement; utensil; ware 2. (器官) organ 3. (度量; 才能) capacity; talent 4. (姓氏) a surname
  • 浮點 : [計算機] floating decimal; floating point
  1. Firstly, the dissertation expatiate the develop of epu ' s software and hardware. the hardware is a data acquisition system based on the ps multiprocessor architecture

    硬體是以主從式多結構為核心數據採集系統,主機和從機分別採用ti公司dsp晶元7ms320c31pq和定dsp晶元tms320f240 。
  2. Jx5 is a complex microprocessor, which contains cache, microcode rom, instruction prefetch unit, instruction decode unit, integer unit, mmx unit, floating point unit, page unit, bus unit, dp logic, apic and so on. it is very difficulty to test a such complicated microprocessor and receive anticipative fault coverage ratio. so, we must add dft in cpu ’ design

    Jx5微是一款結構異常復雜的微,它的內部包含有: cache 、微碼rom 、指令預取部件和動態分支預測部件、指令譯碼部件、整數部件、多媒體部件、部件、分段和分頁部件、總線介面部件、雙介面部件、可編程中斷控制部件等。
  3. There are five parts in powerpc603e ? microprocessor : integer execution unit, floating point unit ( fpu ), instruction ( data ) cache, bus interface unit and memory manage unit. the instructions are executed with pipeline way

    Powerpc603e微系統由定執行單元、單元、指令(數據) cache 、總線介面單元、存儲管單元組成,以流水和超標量方式執行指令。
  4. It has five parts, such as integer execution unit, floating point unit ( fpu ), instruction cache, bus interface unit and memory manage unit. the instructions are executed with pipeline way. the instruction set and i / o signals are compatible with powerpc

    它由定執行單元、單元、指令cache 、總線介面單元、存儲管單元組成,以流水和超標量方式執行指令,指令集和介面時序兼容powerpc ,是典型的risc微結構。
  5. In chapter 5 we discuss the design of ieee754 standard fpu ( floating point unit ). processor and uart ( universal asynchronous receiver transmitter ), these cores are used in this dissertation, fpu is used for floating point complex fft processor, uart is used for fft processor " s peripheral and our test platform. in chapter 6 we discuss the design for testability, including atpg, bist and jtag method, discuss the different verification and simulation strategy in soc scale facing to different modules, build up the test platform which is used to test high performance application specified digital signal processing processor. in chapter 7 we summarize the research results and creative points, and point out the further work need to do in the future

    第五章提出了基於ieee754標準的運算的設計和異步串列通信核的設一浙江大學博士學位論文計,提出了適合硬體實現的乘除法、加減運算的結構,運算主要用於高速fft功能,異步串列通信核主要用於pftip核的外圍擴展模塊以及本文所做的驗證測試平臺中的數據介面部分第六章提出了面向系統級晶元的可測試性設計包括了基於掃描測試atpg 、內建自測試bist 、邊界掃描測試jtag設計,在討論可測試性設計策略選擇的問題上,提出了針對不同模塊進行的分別測試策略,提出了層次化jtag測試方法和掃描總線法,提出了基於fpga
  6. After that, it gives the measures of designing dsp ' s assembler as a part of the dsp ' s software development environment together with the c - compiler. moreover, this paper explores the method of design the floating - point arithmetic unit. referring to the ieee754 - 1985 standard for binary floating - point arithmetic, the algorithm and the behavior description of floating - point adder and multiplier is given, and the simulation and verification is shown at the end of this paper

    此外,本文還對運算單元設計做了初步的研究,以ansi ieee - 754數二進制標準為參考,借鑒了經典的定加法和乘法的設計,嘗試性的給出了加法單元和乘法單元的實現模型和行為級上的硬體描述,並對其進行模擬和驗證。
  7. Binary floating - point arithmetic for microprocessor systmes

    系統的二進制運算
  8. A processor usually has two sets of general - purpose registers, one optimized for floating - point operations and the other for integer operations

    一個通常有兩組通用寄存,一組優化為用於運算,一組優化為用於整數運算。
  9. It cost about 313, 000, and in terms of price - performace ratio, this placed the avalon significantly above the 64 processor origin 2000 from silicon graphics, which produced the same crop of gigaflops for a cost of approximately 1. 8 million

    它價值大約31萬3千美元,並且在價格性能比方面,它排在silicon graphics的origin 2000 64位之上,後者有相同數量的gigaflop (每秒十億次運算)而價值大約180萬美元。
  10. Functions were added to allow access to and control of the floating point control word on both the x87 and sse2 floating point processor

    函數,以允許對x87和sse2浮點處理器上的控制字的進行訪問和控制。
  11. Even if an expression is deterministic, if it contains float expressions, the exact result may depend on the processor architecture or version of microcode

    即使是確定性表達式,如果其中包含表達式,則準確結果也會取決于體系結構或微代碼的版本。
  12. Different with traditional microprocessor which solves floating - point normalization with soft ware, the project implemented floating - point normalization with hard ware. the research focused on the architecture of microprocessor mainly

    因本課題意在實現微的基本結構,並未涉及到編譯,因此在對微單元的規格化演算法進行深入分析的基礎上提出了用硬體實現單元規格化的方法。
  13. As a component of high speed float - point calculator, coprocessor is very important to the improvement of the speed and precision of cpu

    作為高速運算部件,對提高cpu的運算速度、運算精度有著重要的協同作用。
  14. Coprocessor is a crucial part of high - speed and high - precision, whose performance directly affects the capabilities of system floating - point execution

    作為高速度和高精度的關鍵運算部件,其性能直接影響系統的運算能力。
  15. Most risc processors have faster floating point multiply operations than integer ones

    我見到這樣一句話,你的risc是啥?確定沒有嗎?
  16. The author is absorbed in research on technology of coprocessor design. in the floating - point addition the paper proposes a carry chain of dynamic and static mixed circuits and a good balance between speed and area of predicting leading - zero logic circuits, considering algorithm and construction of logic circuits. an approach of micro program controller design for coprocessor is put forward and a test bench is given to verify its function

    筆者研究協的設計技術,在加法中提出動態與靜態結合設計進位鏈的方案以及前導零預測面積與速度的折衷方法;在微程序控制的設計中提出一種協微程序控制的設計方法,並且給出其功能驗證的測試平臺。
  17. Amex86 microprocessor is composed of integer processing unit, float - point processor unit ( math coprocessor ) and protect test unit

    Amex86系統由一個整數部件( cpu ) 、一個部件(數學協)和一個保護測試單元組成。
  18. The primary contents and innovations of this article are introduced below. in order to take advantage of the high speed of calculation, and at the same time, improve the accuracy and dynamic - range of the algorithm, three kinds of multi - input floating point adder algorithm ( fpa ) are summarized and a high - performance multi - input fpa structure is put forward with a self - defined floating point format. the performance of the high - performance structure on calculation speed and logic resource consuming is better than the normal structure

    論文的主要工作及創新如下:為了充分利用fpga速度快的特,同時盡量提高演算法的精度及動態范圍,本文在對加法演算法進行深入研究的基礎上,規納總結了三種不同的多輸入加法演算法,並創造性地提出了一種高效的多輸入加法結構及一種適合於fpga實現的自定義數格式,這種高效的結構在所需的邏輯資源和運算速度上均遠優于傳統的多輸入結構。
  19. Linux for pseries is especially compelling for solutions requiring a 64 - bit architecture or the high - performance floating - point capabilities of the power processor

    對于需要64位體系結構或power的高性能能力的解決方案來說, pseries上的linux非常具有競爭力。
  20. In the last chapter, implementing the location algorithm by dsp and fpga is the main work. the programs of location and fitting of flight path are designed in visualdsp + + environment, the interface control module with cpci is implemented by fpga

    本論文選用adi公司的高性能浮點處理器adsp - ts101實現無源定位硬體電路模塊,並利用其軟體開發平臺visualdsp + +開發了相關的定位演算法,用fpga編程實現了dsp模塊與主機cpci介面相連。
分享友人