波特發生器 的英文怎麼說

中文拼音 [shēng]
波特發生器 英文
baud generator
  • : Ⅰ名詞1 (波浪) wave 2 [物理學] (振動傳播的過程) wave 3 (意外變化) an unexpected turn of even...
  • : Ⅰ形容詞(特殊; 超出一般) particular; special; exceptional; unusual Ⅱ副詞1 (特別) especially; v...
  • : 名詞(頭發) hair
  • : Ⅰ動詞1 (生育; 生殖) give birth to; bear 2 (出生) be born 3 (生長) grow 4 (生存; 活) live;...
  • : 名詞1. (器具) implement; utensil; ware 2. (器官) organ 3. (度量; 才能) capacity; talent 4. (姓氏) a surname
  1. Ultrasonic has being attracted much attention gradually in fuel atomization because of some specialities of cavitation and infection on liquid flow. in the course of atomization of pitchy oil, especially, does it show greatly its advantage, but its material atomizational mechanism need research ulteriorly. the primary content of this thesis is about adding cantilever reed to effervescent atomizer so as to combine ultrasonic and effervescent. this thesis has discussed ultrasonic some mechanism, ultrasonic dynamical procreator, effervescent and liquid mucosity infection on atomization, at the some time we make some experiments and discuss atomization rule following some machinery parameter and run parameter so as to find some rule about ultrasonic atomization and to rich the research of ultrasonic atomization mechanism. all that will be beneficial to the design about ultrasonic atomization atomizer

    超聲由於其空化機理及對液體的流變性影響等性,在燃油霧化燃燒中逐步引起人們的重視,尤其在重渣油等高粘度液體的霧化過程中逐步顯示出其優越性,但其具體的霧化機理還需要做進一步的研究在氣泡霧化噴嘴基礎加入懸臂式簧片哨,將超聲與氣泡霧化相結合是本文討論的主要內容本文從研究超聲的一些機理入手,討論了超聲動力氣泡霧化以及液體粘度對霧化質量的影響,並通過具體的實驗,根據實驗數據來討論霧化質量隨各種結構參數運行參數的變化規律,以便找到超聲霧化的一些規律,豐富對超聲霧化機理的研究,對超聲霧化噴嘴的設計具有一定的指導意義。
  2. The outlines of thesis are as follows : for the configuration of gis and the characteristic of partial discharge, the thesis analyses the electromagnetic waves excitated by the pd signal and the reason of a coupler built in gis inducing pd signal in terms of the electromagnetic theory and antenna theory

    主要工作有:針對gis結構及內部局部放電點,分析了局部放電后信號所激的電磁傳輸性,並從電磁場理論及天線理論角度分析內置傳感的局部放電耦合原理。
  3. The nucleus is the software in the design and realization of virtual instruments. we use the design method of module and use many papers to describe the whole building process of the typical signal generation module, date handling module, date reading and writing module, resultdemonstrate module. as the conclusions, we also offer the panels and the diagrams of virtual signal frequency spectrum analytical instrument, virtual butterworth filter, virtual signal generation instrument, virtual integrator and differentiator regulator and amplitude modulation wave counter modulation instrument

    本文採用模塊化設計方法,詳細描述了典型信號成模塊、數據處理模塊、數據讀取和存儲模塊、顯示模塊等軟體開的全過程,分析、解決了設計及實現過程中出現的問題,並給出了已實現的虛擬信號頻譜分析儀、虛擬巴沃斯濾、調幅解調、虛擬信號、虛擬積分和微分等實驗教學用虛擬儀的前面板圖和程序流程圖。
  4. The features of the new type of integration scheme can be described as follow : high division and easy debug are achieved in the scheme ; accumulative total is used, and the discord of integer part and decimal part are avoided in the scheme ; it can divide as well as detect direction ; it can integrate the counter into isp device and then evaluate the maxim frequency of the counter ; it also integrate square wave and some logic devices into isp device, and then improve integration, reliability, stability ; and have the character of software designation instead of hardware designation and in - system programming, and it becomes very easy to modify the circuit and to extend the function

    新型的集成化設計方案具有以下點:細分數高而且調整方便;採用累積計數,避免大小數分別計數的不協調;細分同時完成辨向;可以在isp件內完成計數功能,從而提高了計數的最高工作頻率;同時將方和一些外圍的數字電路集成在一片isp件內,提高了系統的集成度,性能可靠穩定;具有硬體設計軟體化及在系統可編程的點,便於電路的修改和功能的擴展。
  5. Based on the dds technology, the waveform generator first stored data in high speed ram, then used dac to synthesize waveform by the data. many waveforms could then be generated easily. besides, the wave generator could get high performance on frequence resolution and stability, phase continuum, relative bandwidth etc. the dds technology was widely used in frequence synthesis field

    基於dds技術的以高速存儲作為形數據查找表、通過高速d / a轉換對存儲形進行合成,可以靈活產多種形,同時具有頻率解析度高、頻率穩定性好、頻率轉換快、相位連續性好以及相對帶寬較寬等點。
  6. It has wide frequency width and high frequency relution. the maximum output frequency can get to 80m and the highest frequency relution can get to 1 u hz, the waveform memory is 64k. it has the important reference value for three classical structures - pc bus card, stand alone, vxi module

    該任意不僅能產正弦,方,三角等常用的標準信號,還可根據用戶的需要成任意形,具有寬頻帶,高的頻率解析度等點,其形最高輸出頻率可達80m ,頻率解析度可達1 hz ,形最大存儲深度為64k ,對于目前三種典型的任意的結構? pc總線插卡式,獨立儀, vxi模塊都有重要的參考價值。
  7. Electron gun is the foremost parts of twt, it can produce electron beam with a certain shape and current, in order to guarantee the reliability and security of work, it ’ s design have to meet to the requirement not only of electric parameter, but also all kinds of subsidiary characteristics such as filament pyrogenation efficiency, warm up time, shape size, pole space capacitance and the ability to be able to bear the press and all kinds of rigorous run conditions such as high temperature, high humidity, low air pressure, strong vibration and great strike

    由於行管的大功率和高頻率等性,目前還沒有任何其他的件可以代替。行管是應用高頻電磁場與電子注進行互作用的機理進行工作的,而電子槍是行管中產電子注並使它成型的基本部件,電子槍的結構設計決定了槍體自身必須具有良好的抗振可靠性,否則結構共振或振動位移過量,就會導致行管的失效。因此對行管電子槍的研究具有非常重要的意義。
  8. Ningbo dione electric co., ltd located in yuyao city zhejiang province with beautiful scenery, convenient transptation, developed economic, and specialized in variety of vacuume bulb, full bulb and special bulb

    宇潤電有限公司是一家產各種真空泡,充氣泡和種燈具的專業廠家。公司位於風景秀麗,交通便捷,經濟達,人傑地靈,舉世聞名和歷史悠久的河姆渡文化遺址所在地浙江省餘姚市(泗門鎮工業園區329國道旁) 。
  9. During the design of vxi - bus serial controller module, the functions of vxi - bus including time - sequence for vxi interface, resource management, interrupt process, bus arbitration, are accomplished. to advance the performance and stability, the fpga technic is used to implement the kerneled code including serial bus time - sequence switching to vxi interface time - sequence, the uart, the parameterized baud generator and “ pipeling frame ”. the handle type of data transfer bus for vxi - bus is researched thoroughly, and the format of serial data transfer is designed

    在vxi總線串列控制設計中,實現了vxi總線控制的基本功能,包括vxi總線介面時序、總線仲裁、超時處理等;同時利用先進的fpga技術實現了串列總線時序向vxi總線時序的轉換、通用異步收( uart ) 、參數化、流水線結構等功能模塊;在設計中還深入研究了vxi總線數據傳輸的各種操作類型,制定了串列數據傳輸的編碼格式。
  10. Terms used in advanced unique ultrasound machine to exchange lines and import quality, high - energy conversion efficiencies

    採用先進獨的超聲線路和進口優質換能,能量轉換效率高。
  11. In order to evaluate reliability of the devices under thermal stress condition, we took some high temperature storage experiments and combination experiments of temperature and electricity. we found that the device characteristic parameters fluctuated under the thermal stress conditions

    為了考察件的熱穩定性,我們還對件進行了熱應力(即高溫存貯)以及熱電組合應力實驗,現熱應力使性參數動與漂移,熱電組合應力進一步加速件的退化。
  12. In this paper, the mechanics model and computer control method for electromagnetism vibration feeder are studied. the emphases are put on the seeking of optical motion states and the realization of these states by computer control. using labview ( laboratory virtual instrument engineering workbench ), a waveform generator is established to control the electromagnetic force

    本文對電磁振動給料機的力學模型與計算機控製做了比較深入的分析和研究,別是給料機的力學分析與最佳運動狀態、電磁鐵的一些性和用labview實現計算機控制,在與傳統的控制方法對照的情況下,建立了對比較周全的力學模型,提出了利用labview程序產一個,經過放大后對電磁鐵進行控制。
  13. This thesis will base on the character of the w - band frequency synthesis generator, using its synchronization output, design out its pa module, and make it work under cw - wave and pulsed - wave condition. when the input power is 1mw, this kind of pa module can output 95mw or 65mw in 92. 5ghz or 96ghz, and the band - with is 200mhz or 300mhz

    本文將針對w段頻率綜合點,利用其同步輸出埠,設計其功率放大模塊,實現對連續或者脈沖輸出的功率放大,經測試,該種方案的w段功率放大模塊,可以在92 . 5ghz和96ghz實現輸入功率1mw ,輸出功率分別為95mw和65mw的放大輸出,帶寬分別為200mhz和300mhz的。
  14. A new type of x - band high power microwave source - the resonator cerenkov oscillator - tapered amplifier tube ( rco - tat ) is put forward for the first time in the present paper. the proposed high power microwave device is based on a comprehensive investigation of related research work in the world and is in agreement with the demands to the development of practical high power microwave sources

    在較全面調研和認真分析高功率慢展趨勢的基礎上,結合返振蕩等切侖科夫件和漸變錐形結構微件的點,提出了一種新型x段高功率微?低磁場諧振腔切侖科夫振蕩?錐形放大管( rco - tat ) 。
  15. A low detonation velocity explosive with medium density ( lg / cm3 ) and proper power ( > 320ml ). which made from obsolete propellant by controlling the granularity and adding the inhibitor, is studied in this thesis ; the distribution of water in the powdery propellant is described, the effect of detonation velocity from different granularity of powdery propellant is studied and the simulated mathematical model on leakage of water in low detonation velocity explosive is also showed furthermore, the method involved in controlling the leak of water in explosive is provided. meanwhile the effect of inhibitor is experimented some of formulations and processes of low detonation velocity explosive are given in details

    廢棄射藥可直接用來製造凝膠炸藥,在射藥顆粒的空隙中加入氧化劑和高分子的混合溶液,經過一段時間后氧化劑溶液變成凝膠狀態即可制得灌注炸藥,該灌注炸藥具有密度較高( 1 . 4g / cm ~ 3 ) 、抗水、爆速較高的點;文中對灌注液所涉及的材料、工藝流程進行了研究;分析了灌注炸藥的力學性能;利用灌注炸藥的高爆速及射藥的低爆速組成一定形狀可用來形成殊的爆轟,利用matlab程序設計出了平面並對影響因素進行了討論。
  16. Surface acoustic wave ( saw ) device is an advanced analog signal processing device by means of acoustic wave character. since saw technologies is applied thirty years ago, saw devices accelerate growth because of their broad use and in the meantime their performance are raised constantly. because its high performance, light weight and good consistency, it is widely used in modern microwave communication and radar system and so on

    聲表面( saw )件是一種新型的利用聲性的模擬信號處理件。聲表面技術自誕至今三十多年來,因其用途廣泛而得到迅速的展,同時其性能也在不斷地提高。由於saw件性能優良、重量輕、體積小、一致性好,廣泛地應用於現代微通信、雷達等系統中。
  17. I has mainly discussed the sofeware and hardware of aribrary waveform generator controlled by the microcontroller in my with the control of the high proformance microcontroller - 80c196kc, this instrument builds up the main circuit adopting single tone and dac of ad9857. in my project, i. have designed some special circuits with the advanced method of eda ( electron design automation ) based on vhdl and cpld. it can produce standard waveforms such as sine, square, triangle, and generate aribrary waveform according to the user ' s demand, at the same time, it has the ability to produce the amplitude modulation signal based on the carrier of sine and the aribrary waveform

    論文中主要對微機控制的任意的軟硬體設計進行了相應的研究,該任意以intel公司16位高性能單片機80c196kc作為控制,分別利用了ad9857的單音頻模式和dac模式組成正弦和任意的電路,在硬體電路的設計中採用了先進的eda (電子設計自動化)技術,使用vhdl語言和可編程門陣列件對一些殊的電路進行了設計。
  18. This kind of firing - pulse generator may leads to uncharacteristic harmonics due to unbalance of impedance or ac line voltages, or timing errors in the control system

    我的論文工作是設計一個脈沖。本文分析分相觸方式的缺點:在三相不對稱情況下,會產徵諧
  19. This article presents the property of virtual experiment. it describes the main model of virtual experiment for microcomputer interface technology, and the experiment example is presented

    摘要闡述了虛擬實驗的點,描述了互動型微機介面技術虛擬實驗模式,並以率時鐘為例,介紹了微機介面技術虛擬實驗系統及應用。
  20. The pulse / pattern generator has been wildely used in modern measuring and controlling fields, it can generate kinds of standard pulse signals and programmable user data to meet some special digital measuring requirements. and the digital waveform generating part, which can generate digital waveforms in given working modes, is the core of a pulse / pattern generator

    脈沖/數據是現代測量與控制中常用的信號源,它可以產各種標準的脈沖形和具有一定編碼規則的用戶數據,用來滿足定數字測試要求,而數字形產部分是脈沖/數據中的核心部分,承擔著產各種模式的脈沖數字形的任務。
分享友人