語言編輯程序 的英文怎麼說

中文拼音 [yánbiānchéng]
語言編輯程序 英文
language editor
  • : 語動詞[書面語] (告訴) tell; inform
  • : Ⅰ名詞1. (話) speech; word 2. (漢語的一個字) character; word 3. (姓氏) a surname Ⅱ動詞(說) say; talk; speak
  • : Ⅰ動詞1 (編織) weave; plait; braid 2 (組織; 排列) make a list; arrange in a list; organize; gr...
  • : Ⅰ動詞(編輯; 輯錄) collect; compile; edit Ⅱ名詞(整套書籍、資料的各個部分) part; volume; division
  • : 名詞1 (規章; 法式) rule; regulation 2 (進度; 程序) order; procedure 3 (路途; 一段路) journe...
  • 語言 : language
  • 編輯 : 1. (加工整理) edit; compile 2. (做編輯工作的人) editor; compiler
  • 程序 : 1 (進行次序) order; procedure; course; sequence; schedule; ground rule; routing process 2 [自動...
  1. We can write machine logic with advanced language directly, but implementing soft plc call for compiler

    我們可直接用高級寫機床邏,但實現軟邏plc的軟體需要
  2. Microprocessor language editor

    微處理機語言編輯程序
  3. This research based on the nc110 numerical control system of catch numerical control company, and write the logic program with the language siprom, and complete the soft plc compiled system with c program

    本文以凱奇數控公司的nc110數控系統為硬體平臺,以高級siprom寫用戶的機床邏,用c完成對數控系統軟plc的譯系統的設計。
  4. As a result, this design accomplishs the function of circuit, which not only can satisfy the high speed image data transmission of large screen system and improve the performance of circuit, but also increase the flexibility of circuit design. in the design, it is possible to act hardware description language procedure according to the practical application demand, instead of revising hardware design of the circuit, which reduce the design cycle and the cost

    所以,本課題運用可器件來完成電路功能,不僅能夠滿足大屏幕系統高速圖像數據傳輸對速度的要求,改善了電路性能,而且增加了電路設計的靈活性,設計中可以根據實際應用的需求靈活修改相應硬體描述,而不需要修改電路硬體設計,縮短了設計周期,降低了成本。
  5. This system ' s hardware adopts dsp - embedded controller, which can process digital signal independently. the controller communicates with pc by accessing memory. on the side of controller, c language is used to write the interpolation program and the interruption service program, while on the side of pc, visual c + + is used to write programs in which functions of editing nc - code, real - time control and human - machine interaction interface is fulfilled

    該數控系統的硬體採用了具有獨立數字信號處理功能的dsp型運動控制器,控制器通過內存訪問與pc機通信,使用c寫了控制器端的中斷服務和插補,使用visualc + +寫了pc端的數控代碼、實時控制和人機交互界面
  6. Then we explicate the hardware design in details, including implementing ad convert, extending multiple serial communications and external memory, and using cpld do some logic controls. thereby we implement abundance simulation interface, flexible digital interface and serial communication interface. at last we describe the software design, including software design of cpld basing on vhdl and software design of dsp

    本文首先介紹飛行模擬訓練系統的主要組成;接著說明飛控計算機整體系統方案的設計;然後詳細說明飛控計算機硬體平臺的設計,包括ad轉換、多串口通信、外部存儲器的擴展以及採用可器件cpld實現電路的邏控制等幾部分,體現了系統豐富的模擬介面、方便靈活的數字介面和串列通信介面;最後是軟體部分的,包括cpld部分的硬體描述設計,和dsp部分相關的設計。
  7. A testbench program is edited to simulate the behavior of the fifo. after the software simulation is accomplished, a real hardware circuit is designed to multiplex two data channels ( 1553b data channel and 1394 data channel ) according to ccsds standard. during the experiment and hardware debugging, the output logic of the fpga is checked up

    設計中,用vhdl對高速復接器進行行為級建模,為了驗證這個模型,首先使用軟體進行模擬,通過寫testbench模擬fifo的動作特點,對輸入信號進行模擬,在軟體邏模擬取得預期結果后,繼續設計硬體電路,設計出的實際電路實現了將來自兩個不同速率的信源數據( 1394總線數據和1553b總線數據)復接成一路符合ccsds協議的位流業務數據。
  8. The objective of physical design stage is to specify the physical data and process design, using the language and features of the chosen physical environment and incorporating installation standards

    實體設計推行階段的目的,是利用所選定的實際環境的和特點,以及納安裝標準,來認定實體數據及的設計。
  9. The system includes the menu, the programs of parametric drawing and the dcl dialog box. the menu is designed by icons, which can be switched to the menu of autocad. the dialog box include image and edit - box and radio - button

    該系統包括菜單、參數化繪圖和dcl對話框設計,其中菜單採用圖標菜單,並能和autocad標準菜單進行切換;對話框主要採用圖象控制項、 edit - box框和radiobutton按鈕;參數化繪圖採用autolisp制,每一種曲面構件展開單獨制一段
  10. In order to reduce the programming complexity of practical fuzzy control systems, two matlab application program interface ways to capitalize on the resources of matlab and its fuzzy logic toolbox in c programming environment is discussed. one is the maw engine function library, by which c language starts a matlab course running in the background. another is the stand - alone c - code fuzzy inference engine, by which c language establlshes a fuzzy inference system completely based on the c. both of these can reduce the programming loads of practical fuzzy control system obviously

    在模糊控制軟體開發平臺研究方面,為減小實際模糊控制系統的復雜性,探討了在c中調用matlab及其模糊邏工具箱資源的兩種matlab應用介面方法:一個是利用matlab引擎函數庫,由c啟動一個matlab進在後臺運行;另一個是利用模糊邏工具箱中的獨立c代碼模糊推理引擎,建立完全基於c的模糊推理系統。
  11. While programs in the java language are theoretically immune from " memory leaks, " there are situations in which objects are not garbage collected even though they are no longer part of the program s logical state

    雖然用java寫的在理論上是不會出現「內存泄漏」的,但是有時對象在不再作為的邏狀態的一部分之後仍然不被垃圾收集。
  12. Secondly, with introduction of the basic electric network theory and analysis of the normal method for compiling the state equation of rlc electric network, the frame and key technology for system designing and analyzing is proposed, and the program of drawing, editing and analysing for electric network is realized basing on the object - oriented method, visual c + + language and scientific calculation software matlab

    進一步,介紹了電網路的基本理論,分析了rlc電網路狀態方的系統寫方法,選用面向對象的方法及visualc + +和科學計算軟體matlab作為開發基礎,提出了系統設計與分析的框架及關鍵技術,實現了基於visualc + +的電網路繪制、與分析的設計和調用matlab的數值處理。
  13. The three - dimensional convective storm numerical model system is based on the three - dimensional convective storm model ( csm3d ) developed in the institute of atmospheric physics ( 1ap ), chinese academy of sciences. this system is an interactive system running in windows operating system and can be used in severe convective cloud numerical simulation and numerical forecast in the system designation, software is programmed in vc + +, fortran and grads. mixed - languages programming ( vc + + and fortran ), multithreading programming, vc + + interface programming and windows shell programming are applied

    使用visualc + +和fortran以及grads等軟體,利用vc + +和fortran混合技術、 visualc + +界面技術、 windowsshell等多種技術,基於多線設計思想,將原來的基於dos操作系統的強對流雲數值模式系統移植到windows操作系統下,理順了原系統各個模塊之間的邏關系,建立了具有windows風格的友好界面,本系統操作簡單直觀,交互性好,方便使用者的操作。
  14. The paper takes siprom as source program of machine logic, analyzes compiled system with compiled phase and run phase written by c

    本文以高級siprom寫機床邏用戶源,以c和運行來完整分析譯系統。
  15. In the execution of such a program, an input statement can be logically deduced from other statements in the program. many artificial intelligence programs are written in such languages

    在這樣一個的執行過中,一條輸入句可以按照邏中的其他句推斷出來。許多人工智慧使用這種寫。
  16. In the process of designing, i modify components conveniently and timely by managing associative and parametric technology ; using an involute gear as example, i use c language to edit and amend corresponding programs to control parametriclly the shape and the size of gear by using pro / engineering program blocks ; making use of image snagging software snag it 5. 0 and icon edit software icon edit pro do individuation icons

    在設計過中,運用了相關性、參數化等技術,方便及時地對零部件進行修改;利用pro engineering本身提供的模塊,以漸開線齒輪為例,用c對其進行了二次開發;利用圖像抓取軟體snagit5 . 0和圖標軟體iconeditpro ,製作了個性化圖標。
  17. This article analyse the integration technology of multimedia net page courseware based distance educational course resource of internet and brings forward the way of its net page realized by html, while the script language of vbscript & javascript is used to compile script program, and the communication of net page is realized

    教育的發展,需要大批多媒體網頁課件作為資源支撐。本論文分析了基於網路的遠教育課資源的多媒體網頁課件的製作技術,給出了利用html的實現方法,同時,利用vbscript 、 javascript等腳本腳本,實現網頁的交互。
  18. To specify a default editor and language encoding options, if applicable for the type of file selected, choose a program from the list, and then click set as default

    若要指定所選文件類型的默認器(如果適用,還可指定碼選項) ,請從列表中選擇一個,然後單擊「設為默認值」 。
  19. According to the function of test platform, the test platform is partition into a few modules. those modules are designed with verilog hdl and the key problems are discussed in details. the verilog codes for transmit and receive end of test platform are simulated under quartus ii 5. 0 ise, and debugged by downloading the verilog programs into ep1s25f780c and ep1s80b956c6 developing kits

    在對每一個模塊的設計要點做了詳細說明之後,採用verilog寫各模塊邏代碼,在altera公司的quartusii5 . 0集成開發環境下,基於altera公司stratix系列fpga對各模塊及整個窄帶ldpc解碼-誤碼測試平臺進行了模擬並將發端和收端的verilog分別下載到altera的ep1s25f780c和ep1s80b956c6開發實驗板進行調試。
  20. Then, the author specially studies the characteristic of system architecture of the dsp, paints schematic principle diagram and pcb diagram of the hardware circuit system, writes the program decoding and partial data processing of the cpld, adopting verilog hdl hardware describing language

    然後,研究了dsp晶元結構體系的特點,繪制了硬體電路系統的原理圖和pcb圖,且採用veriloghdl硬體描述寫了復雜可器件( cpld )的譯碼與部分數據處理
分享友人